问题标签 [circuit]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
6 回答
5727 浏览

embedded - 适合初学者的简单串行 AVR 编程器

为 AVR atMega 和 atTiny 制作串行(RS232)编程器的便宜又好方法是什么?网上有好几种电路,但哪一种更好呢?

我希望能够使用一些标准工具从 Linux 和 Windows 对我的控制器进行编程。

0 投票
7 回答
58683 浏览

c++ - 从 C/C++ 程序使 LED 闪烁的步骤?

从 C/C++ 程序制作带有 LED 闪光灯的小电路最简单的步骤是什么?

我希望所需的依赖项和软件包数量最少。

  • 我会将某些东西连接到哪个端口?
  • 我会使用哪个编译器?
  • 如何将数据发送到该端口?
  • 我需要有一个微处理器吗?如果不是,我不想在这个简单的项目中使用一个。

编辑:对任何操作系统特定的解决方案感兴趣。

0 投票
3 回答
23142 浏览

verilog - 如何在verilog中将数字转换为二进制补码?

我正在尝试在 verilog 中设计一个 4 位加法减法器。这只是我用verilog 写的第二件事,而且我还不知道所有正确的语法。这是我到目前为止的模块:

我的编译器(xilinx 10.1)一直说“if 附近出现语法错误”。我尝试了许多不同的转换方法,包括仅使用以 Y 作为参数的 Case,然后检查所有可能的 4 位组合,并将它们转换为二进制补码。

Z 决定加法器是做减法还是加法。如果为 0,则表示减法,我想将 y 转换为二进制补码,然后进行常规加法。我确定加法器的其余部分是正确的,我只是不知道我要转换的部分有什么问题。

0 投票
3 回答
383 浏览

python - 在 python 中选择简单数据库中的项目时需要帮助

我正在尝试用 Python 编写电路原理图绘图工具。我正在基于包含所有组件及其属性的字典创建一个简单的数据库。我还在尝试创建一种简单的查询语言,您可以在其中选择,例如,所有电阻值>100ohms 或footprint='0402'

到目前为止,我可以使用一些原始谓词搜索来选择事物,然后对更复杂的事物进行联合和交集。

但是,我无法定义纯否定搜索的语义应该是什么。例如,像

足迹!='0402'

应该选择所有足迹不等于 0402 的项目。但是只用交叉点执行此操作会给我一个空白结果。为此,我需要选择所有组件,然后与“not 0402”相交以删除我不想要的组件。

但这似乎是一种蛮力,似乎是一个可疑的解决方案。我对使用“真正的”数据库和查询语言不感兴趣,所以请不要这样做。我在这里寻找适当的工程原理,不一定是解决问题的方法。

这个问题在 SICP 书中有所涉及,但我很困惑,因为我认为他们也在使用延续和东西,我还没有得到。

有人可以解释一下消极选择东西的“正确”用法应该是什么。我在商业 cad 工具中尝试过,它按预期工作,但后来我看到了一些 SQL 查询示例(我认为),它们首先选择了一些东西,然后删除了不需要的东西。

谢谢
迈克尔

0 投票
2 回答
3715 浏览

genetic-programming - 模拟电路仿真库?

我正在研究一种基因编程工具,我想开发模拟电路。任何人都可以建议一个库或工具,甚至是连接我的 GP 代码的参考吗?我只需要某种方法让 SPICE 之类的东西评估由我的代码创建的电路。我已经看到了对 SPICE 等工具使用的电路(网表)的纯文本表示形式的引用。我想知道是否有比运行它并在标准输入上传递网表更好的方法来连接到模拟器。如果您想知道我对哪种库感兴趣,我的代码是用 C 编写的。

0 投票
3 回答
10004 浏览

port - VHDL端口映射问题

我对 VHDL 比较陌生。我正在尝试编写代码来使用全加器的组合进行无符号乘法。编译时将其传递给端口映射。我已经解决了第一张地图中的错误,但所有其他地图都给我带来了问题。

我得到相同的错误:“端口映射方面的表达式实际值必须是静态的”

这是我的代码。任何帮助表示赞赏。此外,如果您有基于查看我的代码的一般提示,我将不胜感激。

谢谢,布兹基

0 投票
3 回答
1792 浏览

fpga - 计算 15 位输入中设置位数的电路

如何构建一个使用 4 输入 LUT(查找表)计算 15 位输入中设置位数的面积有效电路。输出显然是 4 位(计数 0-15)。有人声称可以使用 9 个 LUT。

0 投票
2 回答
730 浏览

hardware - 程序员的电路设计书

我需要一本教电路设计的书,这在编程微控制器时会很有用。通常当我加入一个项目时,在我开始将任何逻辑编程到微控制器中之前,需要将它集成到带有电源、传感器等的电路中。我发现我自己缺乏这样做的技能,但是有一次电路已设置好,有人向我展示了 Vcc 和 gnd 线的位置,我通常可以自己对控制器进行编程。

所以我需要一本面向程序员的书,但在我需要设置电路时会很有用。我不是在寻找可以教授欧姆定律和基尔霍夫定律的理论教科书,因为我已经有了其中的一些。我正在寻找更像是参考指南或食谱的东西。一些东西会告诉我分压器何时有用或如何选择不同的有价值的组件。

我希望这个问题不是太面向硬件,虽然它不是关于编程,但它是关于我在编程之前需要做的事情。

有什么建议么?

0 投票
2 回答
601 浏览

hardware - 数字电路半/全加器

我正在上数字电路课程,我们不使用书本,只使用讲义。在涉及加法器的部分中,我只有 2 个小例子,涉及二进制数的加法和减法。我很确定我会在考试中遇到措辞问题,例如设计电路来计算某些东西等。有没有人知道任何网站有示例问题和解决方案供我参考?

0 投票
2 回答
673 浏览

graph - 状态空间和电路模拟器

据我所知,周围没有基于状态空间的通用电路模拟器。虽然有一些算法可以找出如何在电路中找到状态空间(唯一的?)(用图表表示)。有没有人尝试编写程序来模拟一些基本的电路元件?

[ref] 1. Sheshu 和 Reed,电气网络和图论。[ref] 2. H Narayanan,子模函数和电气网络 ( http://www.ee.iitb.ac.in/~hn )