我正在尝试使用 VHDL 对 T 触发器进行建模。
library ieee;
use ieee.std_logic_1164.all;
entity tff is
port (
clk: std_logic;
t: in bit;
q: out bit;
qbar: out bit);
end tff;
architecture tff_arch of tff is
begin
process(clk)
begin
if (clk = '1' and t = '1')
then
q <= not q;
qbar <= not qbar;
end if;
end process;
end tff_arch;
但我得到的错误是
Error: CSVHDL0168: tff.vhdl: (line 17): Identifier 'q' is not readable
Error: CSVHDL0168: tff.vhdl: (line 18): Identifier 'qbar' is not readable
我认为错误的原因是,当 q 尚未初始化时,我使用的是“not q”。在这里纠正我,如果我错了。
以及如何解决这个问题?我已经使用 Symphony EDA 免费版正确建模了 D 触发器及其测试台波形。