0

您好,我无法让一些代码在 EDA 操场上运行。我不断收到消息:“未找到 *.vcd 文件。EPWave 无法打开。您使用了 '$dumpfile("dump.vcd");$dumpvars;' 吗?” 但我已将其包含在代码中。

https://www.edaplayground.com/x/2pim

继承人的链接。

4

1 回答 1

1

您的问题是您的代码无法编译。(有一个错误,因为您的设计没有名为 的端口state。)因此,您的仿真没有运行,因此没有dump.vcd文件。

于 2020-05-01T08:55:12.490 回答