1

我的代码中有一些错误,但我找不到我的代码有什么问题。EDA游乐场 说

“执行中断或达到最大运行时间。”

这是我的代码

forever #5 clk = ~clk;

4

1 回答 1

2

您的测试台包括以下几行:

forever
#5 clk = ~clk;

此代码将永远执行。(线索在语法中。)因此,您的模拟将永远不会停止。EDA Playground 的最长运行时间为 1 分钟,因此您的模拟在此之后被终止。因此你的错误信息。

完成后,您需要停止执行此代码。你需要这样的东西:

  reg clk, clear, go;

  ...

  initial 
  begin  
    go = 1'b1;
    ...
    while (go)
    #5 clk = ~clk;
  end

  initial begin
    $dumpfile("systolic_array1.vcd");
    $dumpvars(1,systolic_array);
    #10
    ...
    go = 1'b0;
  end

https://www.edaplayground.com/x/4BCg

于 2019-06-12T07:38:36.507 回答