2

我有一些我正在为课程编写的 VHDL 代码。但是,合成工具将 cell3、cell2 和 cell1 识别为“死”代码,并且不会对其进行合成。

我真的不知道发生了什么导致细胞 3、2、1 在合成中被移除;我已经对其进行了 5 次以上的审查,并询问了几个不同的人,但我找不到“为什么”。

不是在寻找解决方案,只是一个指向原因的指针。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;



entity multiply is
    Port ( a : in  STD_LOGIC_VECTOR (3 downto 0);
           b : in  STD_LOGIC;
           clk : in  STD_LOGIC;
           rst : in  STD_LOGIC;

           p : out  STD_LOGIC);

end multiply;

architecture Behavioral of multiply is

    component cell_a port(
                s: in std_logic;
                c: in std_logic;
                a: in std_logic;
                b: in std_logic;
                clk: in std_logic;

                c_out: out std_logic;
                s_out: out std_logic);
    end component;

    signal c_s_0: std_logic;    --loopback wire for cell 0 from carry to sum
    signal c_s_1: std_logic;
    signal c_s_2: std_logic;
    signal c_s_3: std_logic;

    signal xfer1_0: std_logic;  --wire between 1 and 0
    signal xfer2_1: std_logic;  --"     2 and 1
    signal xfer3_2: std_logic;      --"     3 and 2


begin

    cell3: cell_a port map(
                                    clk => clk, 
                                    s => c_s_3 , c => '0',   a => a(3), b => b,
                                    c_out => c_s_3, s_out => xfer3_2
                                    );

    cell2: cell_a port map(
                                    clk => clk, 
                                    s => c_s_2 , c => xfer3_2, a => a(2), b => b, 
                                    c_out => c_s_2, s_out => xfer2_1
                                    );

    cell1: cell_a port map(
                                    clk => clk, 
                                    s => c_s_1, c => xfer2_1, a => a(1), b => b, 
                                    c_out => c_s_1, s_out => xfer1_0
                                    );

    cell0: cell_a port map(
                                    clk => clk, 
                                    s => c_s_0 , c => xfer1_0, a => a(0), b => b, 
                                    c_out => c_s_0, s_out => p
                                    );
    process(clk)
    begin
        if(clk'event and clk = '1') then
            if(rst = '1') then
            --reset logic here. Magic happens and the circuit goes to all 0
            end if;
        end if;
    end process;
end Behavioral;
4

2 回答 2

8

在没有看到其余代码的情况下,我只能建议您对 cell_a 的“c”输入未使用,这会导致 cell3/2/1 的所有输出都未使用(因此,死代码,因为它不会产生可观察的结果) .

cell0 实例化,因为乘数的“p”输出是可观察的。

于 2009-02-18T21:16:35.197 回答
1

可能是 cell1-3 正在通过合成得到优化,因为这个块“p”的输出只有 1 位。

您不需要完全评估所有逻辑来确定该位应该是 0 还是 1。

于 2009-02-18T21:16:28.020 回答