2

我正在尝试使用 Icarus Verilog 在 Verilog 中编写和测试一个简单的 16 位宽 RAM8 芯片。我发现很难从概念上理解为什么 iverilog 模拟器在某些时钟滴答上向我显示“x”(未定义)值,并想知道是否有人可以为这个问题提供概念上的理解。

我尝试了两种不同的设计,其中一种的输出对我来说很有意义,但我无法解析第二种的输出。

第一个设计制作out了一个寄存器,并为输出分配了时钟:

module RAM8(out, address, in, load, clk);
   output[15:0] out;
   input [15:0] in;
   input [2:0]  address;
   input        load, clk;

   reg          out;            // out is a register
   reg [15:0]   ram [7:0];      // 8-element array of 16-bit wide reg

   always @(posedge clk) begin
      if (load)
        ram[address] <= in;
      out <= ram[address];      // clocked assignment
   end
endmodule // RAM8

而第二种设计out是连续分配的电线:

module RAM8(out, address, in, load, clk);
   output[15:0] out;
   input [15:0] in;
   input [2:0]  address;
   input        load, clk;

   reg [15:0]   ram [7:0];      // 8-element array of 16-bit wide reg

   always @(posedge clk) begin
      if (load)
        ram[address] <= in;
   end
   assign out = ram[address];      // continuous assignment
endmodule // RAM8

这两个的测试台是相同的:

module RAM8_tb();
   wire [15:0] out;
   reg [15:0]  in;
   reg [2:0]   address;
   reg         load;
   reg         clk;

   RAM8 DUT (
         .out(out),
         .in(in),
         .address(address),
         .load(load),
         .clk(clk)
         );

   initial begin
      clk = 0;
      load = 0;
      address = 0;
      in = 0;

      #10 load = 1; address = 0; in = 0;
      #10 load = 0; address = 0;
      #10 load = 1; address = 1; in = 1;
      #10 load = 0; address = 1;
      #10 load = 1; address = 2; in = 2;
      #10 load = 0; address = 2;
      #10 load = 1; address = 3; in = 3;
      #10 load = 0; address = 3;
      #10 load = 1; address = 4; in = 4;
      #10 load = 0; address = 4;
      #10 load = 1; address = 5; in = 5;
   end // initial begin

   always #5 clk = ~clk;

   initial #150 $stop;

   initial
     $monitor("At time %t, clk = %0d, load = %0d, address = %0d, in = %0d, out = %0d",
              $time, clk, load, address, in, out);
endmodule // RAM8_tb

我为第一个设计运行测试台的输出是这样的:

At time                    0, clk = 0, load = 0, address = 0, in = 0, out = x
At time                    5, clk = 1, load = 0, address = 0, in = 0, out = x
At time                   10, clk = 0, load = 1, address = 0, in = 0, out = x
At time                   15, clk = 1, load = 1, address = 0, in = 0, out = x
At time                   20, clk = 0, load = 0, address = 0, in = 0, out = x
At time                   25, clk = 1, load = 0, address = 0, in = 0, out = 0
At time                   30, clk = 0, load = 1, address = 1, in = 1, out = 0
At time                   35, clk = 1, load = 1, address = 1, in = 1, out = x
At time                   40, clk = 0, load = 0, address = 1, in = 1, out = x
At time                   45, clk = 1, load = 0, address = 1, in = 1, out = 1
At time                   50, clk = 0, load = 1, address = 2, in = 2, out = 1
At time                   55, clk = 1, load = 1, address = 2, in = 2, out = x
At time                   60, clk = 0, load = 0, address = 2, in = 2, out = x
At time                   65, clk = 1, load = 0, address = 2, in = 2, out = 2
At time                   70, clk = 0, load = 1, address = 3, in = 3, out = 2
At time                   75, clk = 1, load = 1, address = 3, in = 3, out = x
At time                   80, clk = 0, load = 0, address = 3, in = 3, out = x
At time                   85, clk = 1, load = 0, address = 3, in = 3, out = 3
At time                   90, clk = 0, load = 1, address = 4, in = 4, out = 3
At time                   95, clk = 1, load = 1, address = 4, in = 4, out = x
At time                  100, clk = 0, load = 0, address = 4, in = 4, out = x
At time                  105, clk = 1, load = 0, address = 4, in = 4, out = 4
At time                  110, clk = 0, load = 1, address = 5, in = 5, out = 4
At time                  115, clk = 1, load = 1, address = 5, in = 5, out = x
At time                  120, clk = 0, load = 1, address = 5, in = 5, out = x
At time                  125, clk = 1, load = 1, address = 5, in = 5, out = 5
At time                  130, clk = 0, load = 1, address = 5, in = 5, out = 5
At time                  135, clk = 1, load = 1, address = 5, in = 5, out = 5
At time                  140, clk = 0, load = 1, address = 5, in = 5, out = 5
At time                  145, clk = 1, load = 1, address = 5, in = 5, out = 5

这个输出对我来说很有意义。每次我加载一个新值时,输出都是未定义的,因为加载与正在加载的值同时发生在输出寄存器中(因此,该时钟滴答的垃圾值)。

然而,第二个设计的测试台的输出让我感到困惑:

At time                    0, clk = 0, load = 0, address = 0, in = 0, out = x
At time                    5, clk = 1, load = 0, address = 0, in = 0, out = x
At time                   10, clk = 0, load = 1, address = 0, in = 0, out = x
At time                   15, clk = 1, load = 1, address = 0, in = 0, out = 0
At time                   20, clk = 0, load = 0, address = 0, in = 0, out = 0
At time                   25, clk = 1, load = 0, address = 0, in = 0, out = 0
At time                   30, clk = 0, load = 1, address = 1, in = 1, out = x
At time                   35, clk = 1, load = 1, address = 1, in = 1, out = 1
At time                   40, clk = 0, load = 0, address = 1, in = 1, out = 1
At time                   45, clk = 1, load = 0, address = 1, in = 1, out = 1
At time                   50, clk = 0, load = 1, address = 2, in = 2, out = x
At time                   55, clk = 1, load = 1, address = 2, in = 2, out = 2
At time                   60, clk = 0, load = 0, address = 2, in = 2, out = 2
At time                   65, clk = 1, load = 0, address = 2, in = 2, out = 2
At time                   70, clk = 0, load = 1, address = 3, in = 3, out = x
At time                   75, clk = 1, load = 1, address = 3, in = 3, out = 3
At time                   80, clk = 0, load = 0, address = 3, in = 3, out = 3
At time                   85, clk = 1, load = 0, address = 3, in = 3, out = 3
At time                   90, clk = 0, load = 1, address = 4, in = 4, out = x
At time                   95, clk = 1, load = 1, address = 4, in = 4, out = 4
At time                  100, clk = 0, load = 0, address = 4, in = 4, out = 4
At time                  105, clk = 1, load = 0, address = 4, in = 4, out = 4
At time                  110, clk = 0, load = 1, address = 5, in = 5, out = x
At time                  115, clk = 1, load = 1, address = 5, in = 5, out = 5
At time                  120, clk = 0, load = 1, address = 5, in = 5, out = 5
At time                  125, clk = 1, load = 1, address = 5, in = 5, out = 5
At time                  130, clk = 0, load = 1, address = 5, in = 5, out = 5
At time                  135, clk = 1, load = 1, address = 5, in = 5, out = 5
At time                  140, clk = 0, load = 1, address = 5, in = 5, out = 5
At time                  145, clk = 1, load = 1, address = 5, in = 5, out = 5

我的问题是:输出的周期性未定义值是由什么引起的?共同点似乎是当 clk 为 0 且负载为 1 时,但我无法从我对寄存器的理解中回忆起为什么这会导致输出为垃圾。我设计中的所有寄存器都在正时钟沿触发,那么为什么负沿会改变任何值?

我想我可能会对这里的引擎盖下发生的事情感到困惑,并且也希望有人确认或反驳我对第一个设计行为的解释。提前感谢任何花时间阅读和回答的人。

4

1 回答 1

2

在这两种情况下,出现的 X 值都是ram(因为您没有分配任何初始值,所以是 X)的初始值。如果您继续测试并循环通过相同的地址,下一次您将看到您之前编写的值。

在第一个示例中,并发读写不是问题。读取返回时钟沿之前的值,ram并将包含时钟沿之后的新值。

在第二个示例中,触发 X 的事件是address递增的,而不是clkandload信号。由于out未注册且未与 关联clk,因此一旦address发生更改,您就会看到该地址处的值出现在out. 然后在写入新值的时钟沿之后,您会out同时看到该值的变化。

于 2018-12-29T02:15:23.323 回答