1

如何生成功能覆盖率的详细覆盖率报告?我正在使用以下命令来模拟我的代码:

 vlog -64 -work work -vopt +notimingchecks  +cover +fcover  -f pcie_jammer.f 

 vsim -novopt -c <CODE SPECIFIC ARGS> -t ps work.tb_top work.glbl -vopt -do "set WildcardFilter None;**coverage save -onexit -directive -cvg -codeAll pcie_cov_${1}_gen${speed}_X${width}** ; add log -r /*;coverage report -file pcie_cov_${1}_gen${speed}_X${width}.txt -byfile -detail -noannotate -option -directive -cvg -details -verbose;**coverage report -directive -cvg -details -verbose**;run -all;exit" > transcript_${tname}_gen${speed}_X${width}.txt  

 vcover report -html pcie_cov_${1}_gen${speed}_X${width} -verbose

我无法在报告中看到封面组的详细信息。

4

2 回答 2

1

经过一番研究,我能够解决上述问题。请在下面找到解决方案:

要生成详细的功能覆盖率报告:

1.首先使用下面提到的脚本编译和模拟您的代码:

vlog -work work -O0 +fcover +acc -f pcie_jammer.f 
vsim -cvgperinstance -c <ARGUMENTS> work.tb_top work.glbl -do " coverage save -onexit <Name_of_File>.ucdb; run -all;exit"  

将模拟的覆盖率报告保存在 UCDB 文件中(有关 UCDB 文件的详细信息,请参阅 Questa 用户手册)。

2.为了得到一个html或文本报告,重新加载形成的ucdb文件并使用覆盖率报告形成报告如下:

vsim -cvgperinstance -viewcov merged.ucdb -do "coverage report -file final_report.txt -byfile -detail -noannotate -option -cvg"

也可以使用 Questa GUI 来形成报告。

这种方法对于合并多个测试用例的功能覆盖率报告非常有用。

于 2018-04-16T06:23:24.840 回答
0

创建 .ucdb 文件后,转到控制台 (cmd) 并键入以下命令:

vcover report -details -html result.ucdb 

(这是用于包含详细信息的 html 报告。)

vcover report -details result.ucdb 

(这是为 questasim 准备的。)

于 2018-12-04T05:25:22.007 回答