0

在某些情况下,注入错误会触发断言失败。所以,我通过开关通过 $testplusargs 关闭这个断言。有没有办法在测试用例结束时获取属性状态(空真,真真或失败),例如通过 PLI 或模拟器提供的其他方式(我正在使用 vcs)。有人对此有什么想法吗?非常感谢。

4

1 回答 1

0

您可以将一些 VPI 回调注册到断言并检查失败/通过计数​​的数量。您还可以在系统 verilog 中使用操作块计算属性的通过/失败次数。

例如:assert property(p_test) begin pass_cnt++; 结束否则开始失败_cnt++结束

于 2018-01-24T13:27:15.880 回答