1

我知道基本的 `include "filename.v" 命令。但是,我正在尝试包含另一个文件夹中的模块。现在,该模块还包括存在于同一文件夹中的其他模块。但是,当我尝试在最顶层运行模块时,出现错误。

C:\Users\Dell\Desktop\MIPS>iverilog mips.v
./IF/stage_if.v:2: Include file instruction_memory_if.v not found
No top level modules, and no -s option.

在这里,我正在尝试制作一个 MIPS 处理器,它包含在文件“mips.v”中。该文件的第一条语句是“`include”IF/stage_if.v“。并且,在 IF 文件夹中,有许多文件存在,我已将它们包含在 stage_if.v 中,其中一个是“instruction_memory_if.v”。下面是目录级图。

-IF
  instruction_memory_if.v
  stage_if.v
+ID
+EX
+MEM
+WB
mips.v
4

1 回答 1

3

您需要使用标志告诉您iverilog在哪里查看。-I

top.v

`include "foo.v"

program top;
    initial begin
        foo();
    end
endprogram

foo/foo.v

task foo;
    $display("This was printed in the foo module");
endtask

可以使用以下命令运行:

iverilog -g2012 top.v -I foo/
vvp a.out

>>> This was printed in the foo module
于 2017-11-24T00:56:52.293 回答