9

RISC-V 的伯克利实现称为 Rocket Chip,它是用一种称为 Chisel 的硬件语言编写的。Chisel 是面向对象的,我们团队的人很难学会有效地修改 Rocket Chip 代码。

我们为 Chisel 创建了这个学习之旅,非常棒。它从基础开始,包括 Scala 的相关部分,并以从 Sodor 和 Rocket Chip 代码中获取的一系列高级示例结束,所有这些都带有动手练习:

http://learningjourney.intensivate.com

我们已向社区开放以供贡献,但似乎应该有其他好地方来解释 Rocket Chip 代码中使用的高级 Chisel 编码实践。有人有链接吗?

4

4 回答 4

2

我用于 Chisel 的一些好的文档链接:

当然还有很多关于 Stackoverflow 的问答。

于 2018-11-12T14:57:13.023 回答
0

Chipyard 有很好的Rocket-chipTilelink/Diplomacy包的文档。

于 2021-09-12T18:51:36.057 回答
0

本教程介绍了 Rocket 芯片的独立实现。

https://hardsecurity.github.io/docs/untether-v0.2/

凿子设计 Risc-V cpu

https://fatalfeel.blogspot.com/2013/12/chisel-design-ic-for-risc-v.html

象山使用rocket-chip core设计risc-v cpu链接讲解缓存、TLB、寄存器文件及基本设计理论教你如何使用intellij IDE设置断点调试和使用Vivado看电路

于 2021-09-04T14:54:27.980 回答
-5

riscv.org 最好放弃在他们的设计中使用 Chisel,因为使用它或想要使用它的设计工程师相对较少。大多数使用 Verilog、System Verilog 和 VHDL。使用 Chisel 正在大多数想要使用 Rocket Chip 的设计工程师之间筑起一道墙。换句话说,使用大多数设计工程师使用的普遍接受的设计工具。

于 2019-04-10T23:39:00.790 回答