3

我有一个非常简单的 FSM,它应该驱动外部 RAM 的一些输出信号。我遇到的问题是处理可以输入和输出的数据总线......我不太确定如何在我的 FSM 中最好地处理这种情况。问题来自以下行:

  v.sram_data   <= io_sram_data;

显然,左侧是变量,右侧是信号。有没有一种“好”的方式来处理我所拥有的 FSM 中的 inout 信号?

entity sram_fsm is
  port (
     clk              : in std_logic;
     reset            : in std_logic;
     out_sram_rd      : out std_logic;
     out_sram_wr      : out std_logic;
     out_sram_addr    : out std_logic_vector(3 downto 0);
     io_sram_data     : inout std_logic_vector(7 downto 0)

);  
end;

architecture Behavioral of sram_fsm is

  type state_type is (wr_init, wr_data, rd_init, rd_data);

  type reg_type is record
     state       : state_type;
     sram_data   : std_logic_vector(7 downto 0);
     sram_addr   : std_logic_vector(3 downto 0);   
     sram_rd     : std_logic;  
     sram_wr     : std_logic;     
  end record;   

  signal r, rin : reg_type;

  begin

  comb : process (r)
     variable v : reg_type;
begin
v := r;

case r.state is
  when wr_init =>
        v.sram_data    := "00000000";
        v.sram_addr    := "0000";   
  v.sram_rd      := '0';  
  v.sram_wr      := '0';     
  v.state        := wr_data;
  when wr_data =>
  io_sram_data  <= "00001000";
       v.sram_wr     := '1'; 
  v.state       := rd_init;
  when rd_init =>
  v.sram_addr   := "0000";   
  v.sram_rd     := '1';  
  v.sram_wr     := '0';     
  v.state       := wr_data;
  when rd_data =>
  v.sram_data   <= io_sram_data;
        v.state       := wr_init;     
 end case;

     out_sram_addr  <= v.sram_addr;
     out_sram_rd    <= v.sram_rd;    
     out_sram_wr    <= v.sram_wr;    

   rin <= v;

     end process;

regs : process (reset, clk)
begin
  if reset = '0' then
         r.state <= wr_init; 
     elsif rising_edge(clk) then
        r <= rin;
     end if;   
end process;   

 end Behavioral;

非常感谢代码改进了这个简单的 FSM 的评论!

4

3 回答 3

2

使用inouts 最好在顶层将其拆分为两个信号data_from_outsidedata_to_outside。然后你的下层需要实体上的三个元素,一个输入向量,一个输出向量和一个信号来说明何时驱动外部数据。双向信号也不适合记录。

然后顶层需要做:

data_pins <= data_to_outside when data_to_outside_enable = '1' else (others => 'Z');
data_from_outside <= data_pins;

从风格的角度来看:把一切都放在一个过程中。对此存在一些争论,但 comp.arch.fpga 和 comp.lang.vhdl 上的许多受人尊敬的海报都持有这种观点。

于 2010-10-13T16:37:35.143 回答
1

<=此外,当您确实需要变量赋值时,您曾多次使用信号赋值符号:=

所以,你想写

v.f := a;

a变量的字段赋值v,和

s <= a;

分配a给信号或端口s

于 2010-10-13T19:05:08.930 回答
0

在这点上我会站在马丁一边。

将双向性保留在顶层,然后下面的所有逻辑都看到两条总线,一条输入总线和一条输出总线。

输入总线始终与双向数据总线相同。

然后,双向数据总线在输出有效时分配给输出总线,在输出无效时分配给 Z。

Z 将被总线的实际输入状态覆盖。

于 2010-10-14T09:58:04.613 回答