1

我有一个具有 4 个整数位和 28 个小数位的 32 位小数,我有兴趣在不使用 ieee_proposed.fixed_pkg.all 之类的包的情况下在 VHDL 中实现它。是否有任何其他方法可以仅使用标准包(例如 numeric_std.all)来表示这个 32 位数字。帮助表示赞赏

4

0 回答 0