0

我在 Matlab 中使用 Xilinx 系统生成器块。

我只使用了一个带有网关输入和网关输出的黑匣子。

黑匣子的代码非常简单,可以在 ISE 设计套件中正常工作

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.ALL;

entity test44_vhdl is
    Port ( row : in  std_logic_vector (1 downto 0);
           slice : out  std_logic_vector (3 downto 0));
end test44_vhdl;

architecture Behavioral of test44_vhdl is

type oneD is array (1 to 3) of integer range 0 to 15;
constant table: oneD := (3, 9, 13);

begin

    slice <= std_logic_vector(to_unsigned(table(to_integer(unsigned(row))), slice'length));

end Behavioral;

但不幸的是,它不适用于 matlab 系统生成器。

我收到以下错误消息

Exception: ISE Simulator Simulation failed during initialization.

谁能帮助我这段代码有什么问题以及我应该做些什么更改才能使模型正常工作

4

1 回答 1

0

在我检查了几次问题后,我发现了错误,就是当输入为“00”时,没有为数组表分配值

所以,我应该做的唯一改变是在 0 处向数组添加一个值

type oneD is array (0 to 3) of integer range 0 to 15;
constant table: oneD := (3, 9, 13, 6);

现在模型工作正常。

于 2016-05-14T18:54:10.827 回答