0

目前我正在尝试做这个项目,我被困在移位寄存器上。问题是,我相当肯定他们希望我们用 d 触发器来实现这一点,但我只见过简单的 if/then 移位器。

到目前为止,我已经让每个 LED 在复位信号的持续时间内模拟点亮,因为我已经将它用于输入。然而,这样做的整体目标是让每个 LED 仅在时钟的一个滴答时间内亮起,并无限旋转。

这是我的问题:如何将输入作为一个开始,以及如何使复位信号不影响后续 LED 点亮的时间?(另一个问题——我是不是做错了,以至于我现在应该放弃并以不同的方式做事?)

移位器代码:

module shift_reg(
    input clk,
    input rst,
    output [7:0] led
    );

//connector wire between flipflops
wire [7:0] bitshift;

// creating the shifter out of d flipflops

//1st one
d_ff d_ff0(
    .clk(clk),
    .rst(rst),
    .D(bitshift[0]),
    .Q(bitshift[1])
);

//middle ones
genvar i;
generate
for (i=1; i<7; i=i+1)
begin : d_ff_gen_label0
    d_ff d_ff_inst1(
        .clk(clk),
        .rst(rst),
        .D(bitshift[i]),
        .Q(bitshift[i+1])
    );
    end
endgenerate;

//last one
d_ff d_ff1(
    .clk(clk),
    .rst(rst),
    .D(bitshift[7]),
    .Q(bitshift[0])
);

assign led = bitshift;

endmodule

d 触发器代码:

module d_ff(
    input D,
    input clk,
    input rst,
    output reg Q
    );
always @(posedge (clk), posedge (rst))
begin
    if (rst == 1)
        Q <=1'b0;
    else
        Q <= D;
end
endmodule
4

1 回答 1

0

一种解决方案是将其中一个 FF 重置10.

要使您的周期长度相等,请使用同步重置(而不是异步重置,就像您实现的那样)

例如:

module d_ff_high(
    input D,
    input clk,
    input rst,
    output reg Q
    );
always @(posedge clk)
begin
    if (rst == 1)  //only reset on a clock edge!
        Q <=1'b1;
    else
        Q <= D;
end
endmodule

...
//1st one
d_ff_high d_ff0(
...
于 2016-01-21T21:56:20.003 回答