当试图让时钟波形显示在 EDA Playground 中时,我收到错误“执行中断或达到最大运行时间”。如何让波形显示?
module test;
reg clk;
initial
begin
$dumpfile("dump.vcd");
$dumpvars(1);
clk=0;
end
always
begin
#1 clk<=~clk;
end
endmodule
当试图让时钟波形显示在 EDA Playground 中时,我收到错误“执行中断或达到最大运行时间”。如何让波形显示?
module test;
reg clk;
initial
begin
$dumpfile("dump.vcd");
$dumpvars(1);
clk=0;
end
always
begin
#1 clk<=~clk;
end
endmodule
没有$finish
这样,因为 sim 无限期地运行并被服务器杀死。添加 #100 $finish; 您的主要测试程序会在EDA Playground上为您提供 50 个时钟示例。
module test;
reg clk;
initial
begin
$dumpfile("dump.vcd");
$dumpvars(1);
clk=0;
#100 $finish; //<-- End simulation
end
always
begin
#1 clk<=~clk;
end
endmodule