我为多路复用器编写了一个“模板”。
我的目标是当 s="01" 或 s="11" 时 y=1。
现在,我应该如何链接 d0 和它所持有的值?
(在本例中,d0 应为 0,d1=1,d2=0,d3=1。)
library IEEE;
use IEEE.std_logic_1164.all;
entity mux4v1 is
port(
d0 : in std_logic; -- 0
d1 : in std_logic; -- 1
d2 : in std_logic; -- 0
d3 : in std_logic; -- 1
s : in std_logic_vector(1 downto 0); -- my inputs controller via switches
y : out std_logic
);
end mux4v1;
architecture struct of mux4v1 is
begin
with s select
y <= d0 when "00",
d1 when "01",
d2 when "10",
d3 when "11";
end struct;