5

有没有一种简单的方法可以在不操作 UVM 库的情况下将自定义函数搭载到UVM_ERROR宏中?(即,每当在环境中的任何地方调用 UVM 错误时,我都希望我的函数与它一起被调用。)

4

2 回答 2

6

我自己还没有尝试过,但uvm_report_catcher看起来它可以满足你的需求。

这是一个回调,您可以在即将发布 uvm 报告(如 UVM_ERROR)时实现,并且在报告之前调用您的函数。

示例可在此处获得,第 4.9.3 节:http: //low-powerdesign.com/article_Cadence-UVM_101810.html

于 2013-09-25T23:23:48.567 回答
0

根据您的需要,uvm_report_catcher 类是最佳选择。

这是您可以使用的示例,

 class my_error_demoter extends uvm_report_catcher;
   function new(string name="my_error_demoter");
     super.new(name);
   endfunction

  function action_e catch();
    if(get_severity() == UVM_ERROR) begin
       ... your task () ; ...        
    end
    return THROW;
  endfunction
endclass

希望这能解决您的问题...

于 2015-05-26T05:21:41.760 回答