2

___大家好。我已经PLL使用 Quartus II 中的 Megawizard 实例化了一个。然后我想使用它来模拟它,ModelSim SE因为 Quartus II 10.1 没有内置模拟器。我将builtInPLL.vhd(Megawizard 的输出)和PLL_tb.vhd(测试台)复制到 ModelSim 项目的目录中。但是当我编译builtInPLL.vhd时,它给出了以下错误消息:

错误:builtInPLL.vhd(39):未找到库 altera_mf。
错误:builtInPLL.vhd(40): (vcom-1136) 未知标识符“altera_mf”。

builtInPLL.vhd中的相关行:

图书馆altera_mf;
使用 altera_mf.all;

我用谷歌搜索了这些消息,但没有发现任何真正有用的信息。我从中复制了altera_mf.vhd..\eda\sim_lib并使用builtInPLL.vhd对其进行了编译。Modelsim 仍然给了我上面的信息。.dat并且.dbs在工作目录中创建了许多带有后缀的文件。这些是什么?

图书馆在哪里altera_mf?以及如何使用 ModelSim SE 模拟宏功能。
任何帮助将不胜感激。谢谢。

4

2 回答 2

3

标准 ModelSim SE 不支持 Altera 库,因此您必须安装 Altera 特定库,例如altera_mf. 在库设置脚本 (VHDL)下的ModelSim Tcl 脚本示例中有一个示例 Tcl 脚本。

作为替代方案,您可以考虑使用 ModelSim-Altera 版本,它带有预安装的 Altera 库,例如altera_mf. 此 ModelSim 版本可在ModelSim-Altera Software中找到。甚至还有一个名为“ModelSim-Altera Starter Edition”的免费版本。

于 2013-09-12T13:44:36.797 回答
1

Quartus II 还为此提供了一个交互式工具:

工具 > 启动仿真库编译器 > ...

于 2015-05-06T08:56:05.720 回答