如何在时钟信号的上升沿设置位并在时钟信号的下降沿重置该位?我想知道我怎样才能做到这一点。根据我想在上升沿设置并在下降沿重置的条件。这就像在输出端获得时钟脉冲一样。
我实现了两个不同的时钟脉冲,但我遇到了这样的故障。
我的代码是这样的
process(clk)
begin
if rising_edge(clk) then
d0 <= new_data;
end if;
end process;
process(clk)
begin
if falling_edge(clk) then
d1 <= new_data;
end if;
end process;
out <= d0 when clk = '1' else d1;