0

当尝试根据本文档 http://www.cs.columbia.edu/~sedwards/classes/2013/4840/lab3.pdf中的说明组装系统时, 我收到以下错误消息:

Error: System.nios2_qsys_0: Reset slave sram_0.avalon_slave_0 not connected to instruction_master.
Error: System.nios2_qsys_0: Exception slave sram_0.avalon_slave_0 not connected to instruction_master.
Error: System.sram.avalon_slave_0: Interface must have an associated clock
Error: System.sram.avalon_slave_0: Interface must have an associated reset
Error: System.leds.avalon_slave_0: Interface must have an associated reset
Error: System.nios2_qsys_0.data_master: leds.avalon_slave_0 (0x0..0x3f) overlaps jtag_uart_0.avalon_jtag_slave (0x0..0x7)
Error: System.nios2_qsys_0.instruction_master: leds.avalon_slave_0 (0x0..0x3f) overlaps jtag_uart_0.avalon_jtag_slave (0x0..0x7)
Error: System.sram.avalon_slave_0: sram.avalon_slave_0 must declare an associated reset
Error: System.leds.avalon_slave_0: leds.avalon_slave_0 must declare an associated reset
Warning: System.leds.reset: Interface has no signals
Warning: System.sram: sram.conduit_end must be exported, or connected to a matching conduit.
Warning: System.leds: leds.conduit_end must be exported, or connected to a matching conduit.
Warning: System.jtag_uart_0: Interrupt sender jtag_uart_0.irq is not connected to an interrupt receiver

你能告诉我有什么问题吗?

在此处输入图像描述

4

2 回答 2

2

由于该文档使用 SOPC Builder,因此您需要进行某些更改,以便它可以与 QSys 一起使用而不会出现错误。

我在下面列出了您问题中错误的修复:

  1. 错误: System.nios2_qsys_0: Reset slave sram_0.avalon_slave_0 not connected to instruction_master。

    错误: System.nios2_qsys_0:异常从机 sram_0.avalon_slave_0 未连接到指令主机。

    修复:连接avalon_slave_0到处理器sraminstruction_masternios2_qsys

  2. 错误: System.sram.avalon_slave_0:接口必须有关联的时钟

    错误: System.sram.avalon_slave_0:接口必须有关联的重置

    修复:编辑de2_sram_controller.vhd文件并将以下行添加到信号声明中:

    signal clk: in std_logic;

    signal reset_n: in std_logic;

    然后,编辑sram您在 QSys 中创建的组件并添加clkreset_n信号。

  3. 错误: System.nios2_qsys_0.data_master:leds.avalon_slave_0 (0x0..0x3f) 与 jtag_uart_0.avalon_jtag_slave (0x0..0x7) 重叠

    错误: System.nios2_qsys_0.instruction_master:leds.avalon_slave_0 (0x0..0x3f) 与 jtag_uart_0.avalon_jtag_slave (0x0..0x7) 重叠

    修复:在 QSys 窗口中,转到System--> Assign Base Addresses

  4. 错误: System.leds.avalon_slave_0:接口必须有关联的重置

    错误: System.sram.avalon_slave_0:sram.avalon_slave_0 必须声明关联的重置

    错误: System.leds.avalon_slave_0:leds.avalon_slave_0 必须声明关联的重置

    修复:编辑sramled组件。在Interfaces选项卡下确保Associated Resetreset信号(如下图所示)。

在此处输入图像描述

  1. 警告: System.leds.reset:接口没有信号

    修复:编辑led组件。在Interfaces选项卡下,朝向底部,单击Remove Interfaces With No Signals

  2. 警告: System.sram:sram.conduit_end 必须导出,或连接到匹配的管道。

    警告: System.leds: leds.conduit_end 必须导出,或连接到匹配的管道。

    修复:在 QSys 窗口中,对于sramled组件,在Export列下,确保您Double-click to export.

  3. 警告: System.jtag_uart_0:中断发送器 jtag_uart_0.irq 未连接到中断接收器

    修复:确保 的avalon_jtag_slave连接jtag_uart_0IRQ 31. 参考下图并双击连接jtag_uart_0到的白色圆圈IRQ 31

在此处输入图像描述

我希望这有帮助。

于 2013-08-19T18:03:04.877 回答
2

sram自定义组件有问题。它只有一个管道和一个 Avalon 从接口,它的复位和时钟输入是不可见的,因为组件本身的声明是不完整的。

这些问题可以通过编辑自定义组件来解决。右键单击sram组件(左窗格),Edit-> Interfaces(选项卡),确保每个接口都分配了时钟和复位。完成后,生成具有新版本号的组件,并在 Qsys 中将组件升级到已编辑的版本 ( System-> Upgrade IP cores...)。然后确保连接时钟和重置端口,sram这些端口现在应该在 Qsys 中可见。

leds自定义组件也有类似的问题。

上述问题有可能或很可能是由于尝试在 Qsys 中使用 SOPC Builder 的组件

重叠基地址也存在问题。这可以通过System->来解决Assign Base Adresses

还有一个未连接的中断——在 Qsys 中向右滚动,您可以看到中断列并将 JTAG UART 与 Nios 处理器之间的中断连接起来。

于 2014-08-29T13:32:04.143 回答