2

嗨,我在使用 gcc 编译 ac 代码时遇到错误

/usr/lib/gcc/x86_64-redhat-linux/4.4.6/../../../../lib64/crt1.o: In function `_start':
(.text+0x20): undefined reference to `main'
collect2: ld returned 1 exit status

我正在尝试将该fftw()函数导入SystemVerilog。这是我的代码

#include <stdio.h>
#include <stdlib.h>
#include <stdint.h>
#include <math.h>
#include <fftw3.h> 

void fftw(double FFT_in[],int size)
{

    double *IFFT_out;
    int i;

    fftw_complex *middle;

    fftw_plan fft;
    fftw_plan ifft;
    middle = (fftw_complex*) fftw_malloc(sizeof(fftw_complex)*size);
    IFFT_out = (double *) malloc(size*sizeof(double));

    fft = fftw_plan_dft_r2c_1d(size, FFT_in, middle, FFTW_ESTIMATE);  //Setup fftw plan for fft (real 1D data)
    ifft = fftw_plan_dft_c2r_1d(size, middle, IFFT_out, FFTW_ESTIMATE);   //Setup fftw plan for ifft

    fftw_execute(fft);
    fftw_execute(ifft);

    printf("Input:    \tFFT_coefficient[i][0]      \tFFT_coefficient[i][1]   \tRecovered Output:\n");

    for(i=0;i<size;i++)
        printf("%f\t%f\t\t\t%f\t\t\t%f\n",FFT_in[i],middle[i][0],middle[i][1],IFFT_out[i]/size);

    fftw_destroy_plan(fft);
    fftw_destroy_plan(ifft);
    fftw_free(middle);
    free(IFFT_out);

    //return IFFT_out;
}

这是我试图调用 fftw 的系统 Verilog 代码

module top;
import "DPI-C" function void fftw(real FFT_in[0:11], int size);
real j [0:11];
integer i,size;
real FFT_in [0:11]; 

initial begin
    size = 12;
    FFT_in[0] = 0.1;
     FFT_in[1] = 0.6;
     FFT_in[2] = 0.1;
     FFT_in[3] = 0.4;
     FFT_in[4] = 0.5;
     FFT_in[5] = 0.0;
     FFT_in[6] = 0.8;
     FFT_in[7] = 0.7;
     FFT_in[8] = 0.8;
     FFT_in[9] = 0.6;
     FFT_in[10] = 0.1;
     FFT_in[11] = 0.0;

    $display("Entering in SystemVerilog Initial Block\n");
    #20
     fftw(FFT_in,size);

    $display("Printing recovered output from system verilog\n"); 
    //for(i=0;i<size;i++)
        //$display("%f\t\n",(j[i])/size);

    $display("Exiting from SystemVerilog Initial Block");
    #5 $finish;

end

endmodule

这是编译 systemverilg 和 C 文件的 irun 命令

# Compile the SystemVerilog files
fftw_test.sv 
-access +rwc
# Generate a header file called _sv_export.h
-dpiheader _sv_export.h
# Delay compilation of fftw_test.c until after elaboration
#-cpost fftw_test_DPI.c -end
-I/home/fftw/local/include -L/home/ss69/fftw/local/lib fftw_test_DPI.c -lfftw3 -lm 
# Redirect output of ncsc_run to a log file called ncsc_run.log
-log_ncsc_run ncsc_run.log

运行此命令时会出现以下错误:构建库 run.so ld: /home/fftw/local/lib/libfftw3.a(mapflags.o): relocation R_X86_64_32 against `.rodata' can not be used when making a shared object; 使用 -fPIC /homefftw/local/lib/libfftw3.a 重新编译:无法读取符号:错误值 collect2:ld 返回 1 退出状态 make:* [/home/ss69/DPI/./INCA_libs/irun.lnx8664.12.20。 nc/librun.so] 错误 1 ​​ncsc_run: *E,TBBLDF: 无法构建测试库 /home/DPI/./INCA_libs/irun.lnx8664.12.20.nc/librun.so

irun: *E,CCERR: cc编译时出错(状态1),退出。

当我尝试使用 gcc 使用以下命令编译 C 时:
gcc -g -Wall -Werror -I/home/fftw/local/include -L/home/ss69/fftw/local/lib \ fftw_test_DPI.c -lfftw3 -lm -o fftw_test_DPI

我收到此错误:

/usr/lib/gcc/x86_64-redhat-linux/4.4.6/../../../../lib64/crt1.o:在函数_start': (.text+0x20): undefined reference tomain'collect2:ld返回1退出状态

4

5 回答 5

4

确切地说,您是如何使用void fftw(double FFT_in[],int size)评论中的函数的,听起来您正在编写称为 DLL 或作为静态库一部分的例程。

如果是这种情况,那么添加main()根本没有帮助。

如果将其用作可调用例程,您所写的内容绝对 100% OK。

您可能需要做的是将此例程编译为库,甚至是静态库。可能没问题。如果是这种情况,请查阅您的 GCC 文档以了解如何创建静态或动态库。

最后,我自己编写了 Verilog 代码,因此您还可以提供对您已阅读并遵循其说明的 Verilog 文档的任何行或引用。我假设在某些时候您正在调用 Verilog 并为其提供可以/应该使用的库列表。您的库应包含在该列表中。

我根据他的要求包括来自jxh的评论:要将函数导入 SystemVerilog,您需要将函数编译为共享对象。然后,您将 SystemVerilog 指向共享对象。(我不使用 SystemVerilog,但这是我从它的网页上收集到的。)

gcc -shared -fPIC -g -Wall -Werror \
-I/home/ss69/fftw/local/include -L/home/ss69/fftw/local/lib \
fftw_test_DPI.c -lfftw3 -lm -o libfftw_test_DPI.so
于 2013-08-06T22:19:14.573 回答
3
  • #include "svdpi.h"在 fftwc.c 文件中丢失(或者您可能没有显示它,因为它在 fftwc.h 中)。DPI 需要此包含。
  • 您正在编译要与 SystemVerilog 模拟器一起使用的 DPI 库。因此,您不需要main()方法。
  • 我更喜欢总是在 SystemVerilog 编译器之外编译所有 DPI 方法。将 DPI 库包含到仿真阶段。我的流程如下所示:
${SVTOOL} -compile -f svfiles.f -dpi_header gen_dpi_header.h
gcc -fPIC -pipe -O2 -c -g \
    -I${SVTOOL_PATH}/include -Imy_dpi_dir -I. \
    -o fftw_test_DPI.o \
    fftw_test_DPI.c
gcc -shared -o libdpi.so \
    fftw_test_DPI.o [other object files]
# then call ${SVTOOL} again for simulation with libdpi.so

如果您无法通过第一个 gcc 阶段,那么您的问题显然在 C 方面。

我目前无法访问 fftw3 库。我想知道您void fftw(double FFT_in[],int size)可能正在破坏库函数。尝试重命名它void dpi_fftw(double FFT_in[],int size)

于 2013-08-07T03:25:03.797 回答
1

找到了以下关于动态编程接口 (DPI) 的教程:

    http://www.doulos.com/knowhow/sysverilog/tutorial/dpi/

具体来说,向下滚动到“包括外语代码”。

它应该有助于提供有关如何为 SystemVerilog 构建 C 模块的背景信息。

此外,本教程有以下import声明:

  import "DPI" function void slave_write(input int address, input int data);

这个SystemVerilog语句明明input对参数有defs,这是必须的吗?您import不识别输入与输出?

于 2013-08-07T07:15:51.763 回答
1

您没有主要功能。每个二进制文件都必须定义 main。如果没有,则说明您没有 _start 在二进制文件中定义的空内存区域,这意味着您的程序无法启动!

添加一个函数:

int main(){

   fftw(doubleArgumentsArray, intArgument); //Or whatever function calls this function
   return 1; //Needed for C89, C99 will automatically return 1
}
于 2013-08-06T17:47:55.280 回答
0

我相信这是一些 gcc 链接器的问题。我添加了以下链接器标志:

irun ... -Wld,-B/usr/lib/x86_64-linux-gnu

它解决了这个问题。

于 2017-06-14T22:17:42.837 回答