0

我正在使用 Xilinx Isim 进行 vhdl 仿真。我已经初始化了一个变量,例如(signal q: std_logic_vector(15 downto 0):="0000000000000000";). 但是当涉及到模拟时,特定的值没有被初始化。它的显示undefined('U')。我必须在反馈中使用该值。所以取决于它的值也是undefined('U')。还有一件事,如果初始化信号是合成的?当我将它转储到 FPGA 时会发生什么?请告诉我解决方案

4

1 回答 1

1

初始化表达式将在 ISIM 中工作,以及与 XST 的综合。您所看到的表明该信号上有一个驱动程序未初始化或未正确重置。查找并检查该信号上的所有驱动程序 - 阅读 ISIM 文档以了解其“驱动程序”命令可能会帮助您完成此任务。

于 2013-06-03T20:49:03.293 回答