0

我正在尝试合成一个具有如下 sintax 的 VHDL 模块:

...
adc_0_ram_addr <= address_i when selector="000" else ( others => '0' );
...

但是,在使用 ISE 进行合成时,出现以下错误:

ERROR:HDLCompiler:1690 This construct is only supported in VHDL 1076-2008

我的项目设置为 VHDL20XX 而不是 '93,所以参考这个 poing 应该没问题。我用谷歌搜索了它,但什么也没找到。如何正确设置我的 ISE 环境?

提前致谢

4

1 回答 1

1

我发现了问题:由于和写权限问题,语言更改没有完成。

于 2013-05-30T12:18:46.410 回答