我有两个文件,master.vhd 和 slave.vhd,它们都在没有错误或警告的情况下合成。我想创建一个结构顶部模块并将它们连接起来。我正在使用赛灵思 ISE 14.2。
我的 top.vhd 文件如下所示:
library ieee;
use ieee.std_logic_1164.all;
entity top is
end top;
architecture structural of top is
signal reset, clk : std_logic;
signal req, ack, sig : std_logic;
begin
master : entity work.master_v10_zad1(rtl)
port map(
reset => reset,
clk => clk,
req => req,
ack => ack,
sig => sig
);
slave : entity work.slave_v10_zad1(rtl)
port map(
reset => reset,
clk => clk,
req => req,
ack => ack,
sig => sig
);
end structural;
当我这样做时,我无法合成它并收到很多警告,例如:WARNING:Xst:647 - Input is never used。该端口将被保留并保持未连接状态......等等等等
但是如果我在顶部实体中添加一些不需要的端口,那么它可以合成,但我不需要额外的端口,它们只是杂乱无章!
我的问题是如何将两个模块与顶级结构文件(或任何其他有效的方式)连接并保持设计可综合?