2

我有一个函数f(),在 Verilog 模块中定义,M1. 我想在不同的模块中重用这个相同的功能,M2.

有没有在不重新定义函数的情况下这样做M2

  --- M1.v ---
  module M1();
     function f;
        //do stuff
     endfunction
  endmodule

  --- M2.v -----
  module M2();
  // Use f() here
  endmodule
4

1 回答 1

3

您可以将函数放入单独的文件中,并使用`include编译器指令将函数包含在两个模块中:

  --- M1.v ---
  module M1();
     `include "functions.v"
  endmodule

  --- M2.v -----
  module M2();
     `include "functions.v"
     // Use f() here
  endmodule
于 2013-04-21T17:57:43.477 回答