0

我目前正在使用 Xilinx ise10.1。我已经为 upcounter 模拟了一个 Vhdl 程序。但我不知道如何将它与 PLB 总线接口,以便 microblaze c 代码可以通过同一总线读取它。请帮助我我是使用这些工具的新手。

4

2 回答 2

0

ISE 的 10.1 版本已经很老了。所以,如果我不记得一切正确,请原谅我。

如果您启动 Xilinx 部分的xps工具EDK,您应该会在菜单的某处找到一个创建新 Microblaze 外设的向导。这将创建一个带有 PLB 总线的模板以连接到 Microblaze。您的 HDL 代码可以插入到模板中。

对于 ISE 14.4:

  1. 启动 xps
  2. 创建一个新项目(“文件”->“新建空白项目”)
  3. '硬件' -> '导入外设的创建'
  4. '为新外围设备创建模板'
  5. ……
于 2013-02-25T11:53:03.453 回答
0

如果您只想偶尔阅读它,并且一切都在同一个时钟下运行,您可以只实例化一个 GPIO 外设并将您的计数器输出连接到 GPIO 输入线。

于 2013-02-25T15:41:13.687 回答