1

我正在实现一个 N 位桶形移位器。

这是我的组件:

entity barrel_shifter is
    Generic ( N : integer := 4);
    Port ( data_in : in  STD_LOGIC_VECTOR (N-1 downto 0);
           shift : in  STD_LOGIC_VECTOR (integer(ceil(log2(real(N))))-1 downto 0); -- log2 of N => number of inputs for the shift
           data_out : out  STD_LOGIC_VECTOR (N-1 downto 0));
end barrel_shifter;

出于我的目的,我也创建了一个 N 位多路复用器,这是它的代码:

entity mux is
    Generic ( N : integer := 4);
    Port ( data_in : in  STD_LOGIC_VECTOR (N-1 downto 0);
           sel : in  STD_LOGIC_VECTOR (integer(ceil(log2(real(N))))-1 downto 0); -- log2 of N => number of inputs for the shift
           data_out : out  STD_LOGIC);
end mux;

architecture Behavioral of mux is

begin
    data_out <= data_in(to_integer(unsigned(sel)));
end Behavioral;

现在要实现圆桶移位器,我需要以不同的方式连接这些 N 多路复用器。您可以在此处找到示例,第 2 页,图 1。如您所见,IN0 仅与 D0 多路复用器输入连接一次。下一次 IN0 连接到 D1 多路复用器输入,依此类推……(其他输入的逻辑相同)

但是我怎么能在 VHDL 中实现类似的东西呢?如果我没有 STD_LOGIC_VECTOR 作为输入,那会很容易,但我需要制作一个通用的 Barrel Shifter(带有通用映射结构),所以我不能手动连接每条线,因为我不知道通用 N 的值.

在我的 bucket_shifter 实体中,我尝试了这个:

architecture Structural of barrel_shifter is

    signal q : STD_LOGIC_VECTOR (N-1 downto 0);

begin

    connections: for i in 0 to N-1 generate
        mux: entity work.mux(Behavioral) generic map(N) port map(std_logic_vector(unsigned(data_in) srl 1), shift, q(i));
    end generate connections;

    data_out <= q;

end Structural;

但它根本不起作用(“实际,运算符的结果,与正式信号关联,信号'data_in',不是信号。(LRM 2.1.1)”)。我尝试过使用变量和信号,如下所示:

data_tmp := data_in(i downto 0) & data_in(N-i downto 1);

但我仍然想不出正确的方法来建立这些联系。

4

1 回答 1

2

哪个工具报告这个?

Xilinx XST 报告

barrel_shifter.vhd" Line 20: Actual for formal port data_in is neither a static 
name nor a globally static expression

这有助于确定问题,因为表达式std_logic_vector(unsigned(data_in) srl 1)映射到data_in多路复用器上。声明临时信号

architecture Structural of barrel_shifter is

    signal q    : STD_LOGIC_VECTOR (N-1 downto 0);
    signal temp : STD_LOGIC_VECTOR (N-1 downto 0);
begin

    temp <= std_logic_vector(unsigned(data_in) srl 1);

    connections: for i in 0 to N-1 generate
        mux: entity work.mux(Behavioral) generic map(N) 
                                         port map(temp, shift, q(i));
    end generate connections;
    ...
end

解决问题。

于 2012-12-17T15:01:32.590 回答