问题标签 [bluespec]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
0 回答
44 浏览

bluespec - Write only once to register in a given cycle?

Background

It has been my understanding of the various BlueSpec presentations and docs that two rules can not be run in the same cycle if they both modify the same register.

For example, I've read from "BSV By Example" (Amazon, other),

Similarly, certain hardware resource constraints, such as the fact that a hardware wire can only be driven with one value in each clock, will preclude some pairs of rules from executing together in a clock because they both need that resource. We call this a "rule resource conflict".

Similarly, Arvind @ MIT seems to say that "double-write errors" are not allowed.

Then

Recently I was reading through lesson "8.6 RWires and atomicity" and encountered code similar to the following:

Running this code results in:

I believe the following Warning (not Error!) message appears to be relevant:

Question

How is it possible that two rules (rule_update_final and clear_counter) that modify the same full register are able to run in the same cycle?

0 投票
1 回答
51 浏览

ubuntu - 能够在 WSL Ubuntu 上运行的 Kami(Bluespec 的 Coq 框架)的正确设置是什么?

我目前正在使用最新的Kami的 repo 文件,但在尝试运行 Makefile 时无法解决问题。我在此链接上找到了另一个具有类似请求的帖子,但没有答案。我在 WSL Ubuntu 20.04 操作系统上使用 Coq 证明助手 v8.11.0 和 OCaml v4.08.1

错误信息如下图