美好的一天,感谢您查看这个问题
我正在使用 VCSMX(2011 年 6 月版本)模拟具有记录类型接口的核心。内核全部用 VHDL 编写。我正在使用 Systemverilog (SV) Testbench 来激发这个核心。
记录看起来像:
type ll_port is record
frame_name : std_logic_vector(WIDTH-1 downto 0);
frame_valid : std_logic;
.
.
.
end record;
我读到 VHDL 记录类型与 SV 中的结构相同。但是,当我尝试在 SV 中实现 ll_port 结构时,这似乎仍然是 VCSMX 中不受支持的功能。确定的解决方案是将记录端口分解为std_logic和std_logic_vector。
但是,VHDL 中的这种记录类型会被多次使用,并且最终系统中会有多个内核交互,使得每个记录端口的分解非常麻烦。
我想看看如何处理这个问题,以及在这件事上我能得到什么建议。我已经在 SystemVerilog 中有一个自定义模拟基础架构,想知道是否有解决此问题的方法。
RRS