0

我正在尝试使用 VHDL 实现一个 7 段计数器。

计数器从 0 开始,并将整数值递增到最大值 9999。

该值被传递给一个应该将数字“拆分”为数字的块,以便我可以将它们显示在多路复用的 7 段上......

我已经使用多种方法(例如中断)在 PIC 上完成了此操作……但现在我正尝试在 FPGA(确切地说是 Xilinx Spartan 3E 入门板)上执行此操作,我在实现我写的代码时注意到我既不能使用除法也不能使用模数,因为它们无法实现......

编辑:我知道我可以单独映射 0..9999 的值,但那是遥不可及的。

当然还有另一种方法,但我想不出。

任何有关解决方法的提示将不胜感激!

4

1 回答 1

2

好吧,如果您的数字是十进制的,只需提取包含每个数字的位并将它们发送到您的显示多路复用器。LSD 是 num[3:0],MSD 是 num[15:12],等等。

于 2011-12-28T21:08:59.847 回答