2

我无法memory使用 gtkwave 查看:

    module internal_memory(
        output [31:0] hrdata,
        input mem_enable,
        input [31:0] haddr,
        input [31:0] hwdata,
        input hwrite,
        input hreset,
        input hclk
    );
        reg [31:0] memory [0:1023]; // <-------------- can't find its waveform
        reg [31:0] internal_hrdata;

        always @(posedge hclk, hreset) begin
            if (!hreset) begin
                internal_hrdata <= 32'h0000_0000;
            end
            else begin
                if (mem_enable) begin
                    if (hwrite) begin
                        memory[haddr] <= hwdata;
                    end
                    else begin
                        internal_hrdata <= memory[haddr];
                    end
                end
            end
        end

        assign hrdata = internal_hrdata;

    endmodule

你有什么建议来查看波形memory

或者如何在 gtkwave 或任何 .vcd/波形查看器中显示二维数组?

4

2 回答 2

11

我知道这是一个老问题,但我最近不得不查看 Icarus/GTKWave 的模拟记忆,用于课程期末项目,并想为任何阅读此问题的人回答这个问题。我能够在 Icarus Verilog 可移植性说明中找到答案(参见源代码)。

使用 Icarus,您需要转储要明确查看的每个数组字(内存位置):

module top;
   reg [7:0] array [2:0];
   initial begin
     $dumpvars(0, array[0], array[1]);
     ...
   end
endmodule

您可以使用 for 循环自动转储数组中的所有单元格:

module top;
   integer idx; // need integer for loop
   reg [7:0] array [2:0];
   initial begin
     for (idx = 0; idx < 2; idx = idx + 1) $dumpvars(0, array[idx]);
     ...
   end
endmodule

来源: http: //iverilog.wikia.com/wiki/Verilog_Portability_Notes(转储数组词)

于 2013-12-13T08:22:08.570 回答
3

您需要先将内存转储到 VCD 文件中。我熟悉的 2 个模拟器需要额外的模拟选项来将内存转储到 VCD 中;也许你的也是。

于 2011-11-26T01:27:49.200 回答