0

我正在尝试使用我不熟悉的 Cocotb(基于 Python 的框架)验证基于闪存的设计。我过去一直使用verilog,SystemVerilog。我正在尝试为测试台生成时钟。

我试过浏览文档。我在 cocotb 网站上看到他们有时钟课程。我还看到我可以使用类似cocotb.fork(clock(dut.clk,5000).start())的东西来生成时钟。

def directed_test(dut):

    cocotb.fork(Clock(dut.clk, 1000).start())

这就是我生成时钟所需要做的一切吗?cocotb 文档中提供的时钟类,我是否应该简单地导入它并通过在协程中编写上述语句来生成时钟?

任何帮助深表感谢。

学习者。

4

1 回答 1

1

没错,这就是你所需要的。clk如果需要,将in替换为dut.clk时钟信号的名称。units指定选项也很好,请参阅https://cocotb.readthedocs.io/en/latest/library_reference.html#cocotb.clock.Clock

于 2019-07-25T21:36:51.420 回答