-2

我知道 Chisel 是一种 HDL/HCL 语言,通过使用更高的抽象级别来克服一些 Verilog/SystemVerilog 限制。它也是开源的。

这可能有点天真和冒昧,但我还是想问一下。

我的问题是,为什么这么多类似的工作同时进行,例​​如 Blusspec、spinHDL、Pyha 等?

我的意思是,开发社区是否有任何理由不选择其中之一并集中精力稳定或增强其中之一。

4

1 回答 1

3

这正是现在正在发生的事情,只是需要一段时间来选择。尽管过去并没有表明最好的技术会获胜,但让我们希望这次能成功。

于 2019-05-24T16:33:10.427 回答