0

我想创建一个使用 d 触发器作为基本结构元素的移位寄存器。代码:

dff:

  module dff(d,q,clk,rst);

  input d,clk,rst;
  output reg q;

  always @(posedge clk)
     begin:dff_block
        if(rst==1'b1)
          q=1'b0;
        else
          q=d;
     end
  endmodule

移位寄存器:

  module shift_register(s1,d,clk,s0,q);
  parameter n=3;

  input  s1,clk;
  input [n:0] d;

  output s0;
  output [n:0] q;

  genvar i;

  assign d[3]=s1;


  generate
  for(i=0; i<=n; i=i+1)
     dff U1(.d(d[i]),.q(q[i]),.clk(clk));
  endgenerate

  assign q[3]=d[2];
  assign q[2]=d[1];
  assign q[1]=d[0];
  assign q[0]=s0;



  endmodule

试验台:

  module tb();

  parameter n=3;
  reg [n:0] d;
  reg s1,clk;

  wire [n:0] q;
  wire s0;


  shift_register UUT(.s1(s1),.d(d),.clk(clk),.q(q),.s0(s0));

  initial begin

  d=4'b0000;
  clk=0;
  end


always
begin:clok
#10 clk=~clk; s1=1;

end
endmodule

我认为测试台有问题。我尝试在 clk=1 时为每个 #10 提供 s1 值,但同样不起作用。

这段代码没有给我 q 和 s0 的波形。我找不到什么问题。有什么想法吗?

4

1 回答 1

1

您的代码有几个问题。

  1. q是 dff 的输出 reg;q[i]传递q给 dff。q[i]也被分配在assign语句中。所以,你有多重驱动wire q[i],最有可能得到解决x并且永远不会改变。你在某个地方交换了你的 i/o。

  2. 您没有为 分配任何内容s0,因此它不会改变,也不会产生任何波形。

  3. 在这种特殊情况下,在翻牌圈阻塞分配不会产生任何作用,但通常它们可能会导致不可预测的模拟结果。使用非阻塞。

  4. generate那里的循环没有多大意义。您可以将完整向量传递给 dff,也可以翻转完整向量。

  5. assign看起来你对陈述的方向感到困惑。它暗示着方向。assign q[0] = s0;表示将 value 分配给s0wire q[0],反之亦然。

于 2018-12-15T02:42:47.847 回答