-1

我正在使用 Systemverilog 编写一个测试台,我希望在每个测试中自由选择以随机化一些变量或指定它们的值(从 .do 文件或从命令行)。Systemverilog 中是否有任何选项可以执行此操作?

4

2 回答 2

1

你可以做很多事情,但最简单的就是+some_variable=value在命令行中,然后在你的代码中

if (!$value$plusargs("some_variable=%0d",some_variable)
   some_variable = $urandom;
于 2018-09-21T23:34:35.570 回答
0

声明一个变量并使用$urandomor$urandom_range来生成随机值。当你想从命令行传递值时,你可以使用$value$plusargs.

阅读 LRM 的第 21 章(输入/输出系统任务)以查找示例。

于 2018-09-21T17:48:02.943 回答