我有以下财产:
property p_0;
$rose(signal_a) |-> $rose(signal_b) ;
endproperty
我的问题是,在硬件 RST 之后,signal_b 上升(正常行为)但断言失败,我希望仅在以后评估此检查。
我想与first_match()一起工作,如下所示:
p_0_a : assert property ( ! first_match(p_0)) else `uvm_fatal(...)
这样我就跳过了该属性的第一个匹配项,但编译器会生成语法错误。
有没有办法在特定次数的迭代后跳过 SVA 的评估?
谢谢