我有以下简单的过程添加两个数字:
procedure add_elements
(
x : in std_logic_vector(31 downto 0);
y : in std_logic_vector(31 downto 0);
r : out std_logic_vector(31 downto 0)
)
is
begin
r := a + b;
end;
我想在一个看起来如下的过程中使用这个过程:
test: process (....)
variable inp1 : std_logic_vector(31 downto 0);
variable inp2 : std_logic_vector(31 downto 0);
variable res : std_logic_vector(31 downto 0);
begin
...
inp1 := some_value_a;
inp2 := some_value_b;
add_elements(inp1, inp2, res);
...
end
但是,在尝试编译时,Modelsim 告诉我 No feasable entries for subprogram "add_elements"
任何人都知道这里出了什么问题,add_elements 过程的签名有问题吗?
非常感谢!