我正在编写一个测试台,我希望能够让信号以某种模式变高和变低(像这样): 目前我手动输入我想要的每次都是这样的:
module TestExample;
reg a, b, c;
initial begin
$dumpfile("test.vcd");
$dumpvars(0, TestExample);
# 0 a=0; b=0; c=0;
# 10 a=1; b=0; c=0;
# 20 a=0; b=1; c=0;
# 30 a=1; b=1; c=0;
# 40 a=0; b=0; c=1;
# 50 a=1; b=0; c=1;
# 60 a=0; b=1; c=1;
# 70 a=1; b=1; c=1;
# 80 a=0; b=0; c=0;
# 90 $stop;
end
endmodule
问题在于,当我收到更多信号时(比如说 az 而不是 ab),每次手动输入和关联值都需要很长时间。因此,我想知道是否有一种方法可以使信号自动化。例如,如果我可以说 a 每 10 u 秒切换一次状态,b 每 20 u 秒切换一次,c 每 30 u 秒切换一次状态?