我正在尝试创建一个可以连接到 VHDL 字符串的 SystemVerilog 模块。但是,我在 SystemVerilog 中找不到相应的类型。使用类型“字符串”会导致 Questa 中的详细说明错误。
VHDL代码:
library IEEE;
use IEEE.std_logic_1164.all;
entity tb_serdes_support is
end entity;
architecture beh of tb_serdes_support is
component serdes_support is port (
cmd : in string
);
end component;
signal cmd : string(1 to 100);
begin
i_srds_support: serdes_support port map (
cmd => cmd
);
process
begin
cmd(1 to 12) <= "hello world!";
wait for 10 ns;
cmd(1 to 18) <= "hello world again!";
wait;
end process;
end architecture;
SV 代码:
module serdes_support (cmd);
import uvm_pkg::*;
input string cmd;
always_comb begin
$display(cmd);
end
endmodule
编辑:错误消息(Questa):
** 错误:(vsim-3059) 无法将 VHDL 阵列信号连接到 Verilog 标量端口“cmd”。