我是 SystemVerilog 断言的新手,我知道我可以使用并发断言检查信号是否在时钟滴答之间没有变化:
assert property (@(posedge clk) enable == 0 |=> $stable(data));
但是我将如何持续使用立即断言呢?这是我在网上找到的一个例子,但我不确定它是否是我需要的以及它是如何工作的:
assign not_a = !a;
always_comb begin : b1
a1: assert (not_a != a);
a2: assert #0 (not_a!= a); // Should pass once values have settled
end