0

我正在尝试使用从 uvm_object 扩展的参数化类。

class som_util #(int entry_w=2) extends uvm_object;
 `uvm_object_utils(som_util)
 "Some other static functions using the parameterized variables"
endclass

当我使用此实用程序函数在 IUS 上运行测试时,它会编译并且整体测试也通过了。但是在 VCS 上,它抱怨标识符“entry_w”未定义?

可能的原因是什么?

4

1 回答 1

1

UVM 中的参数化类必须使用如下uvm_object_param_utils宏进行注册:

 `uvm_object_param_utils(som_util#(entry_w))

有关更多背景信息,请参阅对此主题的讨论。

于 2017-04-15T01:03:34.460 回答