4

我目前正在建立一个基于 Cocotb 的验证环境。

我刚刚发现,如果使用 VHDL,Cocotb 提供的示例在我的情况下不起作用,因为我的模拟器没有 FLI(外语接口)。我收到以下消息:

错误(可抑制):(vsim-FLI-3155)此版本的 ModelSim 中未启用 FLI。

(我有 ModelSim 的 Altera Starter 版本,确实不包括 FLI)。

令我惊讶的是该示例适用于 Verilog。据我了解,Verilog 使用的不是 FLI,而是 VPI。

如果对 FLI 的需求是强制性的,有人可以向我解释一下吗? Cocotb 中有什么功能可以启用它?

另一个问题:如果我的顶部是 Verilog,而我的其余设计是 VHDL 怎么办?它应该工作吗?

4

1 回答 1

5

Cocotb 有一个用于FLIVPIVHPI的内部抽象层(GPI)。例如,如果您将 Cocotb 与GHDL一起使用,则它仅使用 VPI。您可以尝试针对 VPI 而不是 FLI 编译 Cocotb,并加载 VPI 库而不是 FLI 库。

于 2016-11-10T04:04:43.190 回答