目前,我尝试发展我的 VHDL 技能,因此我使用 Eclipse 的 Sigasi 插件来编写一些 VHDL 代码。Sigasi 是一个很棒的工具,但是有一件事情让我很困扰。Sigasi 不断地抛出关于流程定义中不完整的敏感性列表的警告,从我的角度来看,这是不合理的。一个示例是具有相应架构的以下实体。这是一个环形移位寄存器的描述
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity RingShiftReg is
generic(
WIDTH : integer := 8
);
port(
clock : in std_ulogic;
reset : in std_ulogic;
set : in std_ulogic;
initValue : in std_ulogic_vector(WIDTH - 1 downto 0);
value : out std_ulogic_vector(WIDTH - 1 downto 0)
);
end;
architecture ringShiftRegArch of RingShiftReg is
signal innerValue : std_ulogic_vector(WIDTH - 1 downto 0);
begin
P1: process(clock, reset)
begin
if reset = '1' then
innerValue <= (others => '0');
elsif rising_edge(clock) then
if set = '1' then
innerValue <= initValue;
end if;
else
innerValue <= innerValue(WIDTH - 2 downto 0) & innerValue(WIDTH - 1);
end if;
end process;
value <= innerValue;
end ringShiftRegArch;
Sigasi Linter 声称进程的敏感度列表P1
不完整,因为信号innerValue
丢失。但在我看来,没有必要放入innerValue
敏感列表,因为它完全依赖于clock
and reset
。
现在什么是正确的?