0

我正在尝试将此代码转换为 Verilog:

from myhdl import always_comb
from myhdl import modbv
from myhdl import Signal
from myhdl import concat
from myhdl import toVerilog

var0 = modbv(15)[12:]
var1 = modbv(15)[12:]
var2 = modbv(15)[12:]
var3 = modbv(15)[12:]
a = modbv(0)[3:]
b = modbv(1)[3:]
c = modbv(2)[3:]
d = modbv(3)[3:]
e = concat(d, c, b, a)


def qwe(sel, out_data):
    @always_comb
    def hdl():
        if sel == a:
            out_data.next = var0
        elif sel == b:
            out_data.next = var1
        elif sel == c:
            out_data.next = var2
        elif sel == d:
            out_data.next = var3
        else:
            out_data.next = e

    return hdl


sel = Signal(modbv(0)[3:])
output = Signal(modbv(0)[12:])

toVerilog(qwe, sel, output)

但是,我收到以下错误:

  File "/usr/lib/python3.5/site-packages/myhdl/conversion/_toVerilog.py", line 474, in raiseError
    raise ToVerilogError(kind, msg, info)
myhdl.ToVerilogError: in file test.py, line 22:
    Object type is not supported in this context: a, <class 'myhdl._modbv.modbv'>

在函数内部移动变量qwe会起作用,但我需要在外部使用这些变量,因为我需要在另一个模块中访问这些“常量”。

谁能解释为什么我得到这个错误,我怎样才能让它工作?

谢谢。

4

1 回答 1

1

您必须使用信号在模块之间进行通信。发生器只能对信号敏感。特别是,always_comb装饰器推断的敏感度列表仅包含信号。

顺便说一句,我很困惑你为什么提到“常量”。如果您需要常量,只需使用普通整数。intbv和类似的类型modbv是可变类型,而不是用来表示常量。

于 2016-02-18T08:56:39.953 回答