触发器结构代码和测试台代码
我不知道我在哪里犯了错误。我在输出中几乎没有错误。
`timescale 1ns/1ps
module t_ff (
input clk,t,rst_n,
output reg q);
always@(posedge clk ,negedge rst_n)
begin
if (!rst_n)
q <= 1'b0;
else if(t)
q <= ~q;
else
q <= q;
end
endmodule
@@@试验台@@@@
`timescale 1ns/1ps
module tb_tff;
reg RST_n, CLK,T;
wire Q;
t_ff TFF (.clk(CLK) ,.rst_n(RST_n) ,.q( Q ),.t(T));
initial begin
RST_n = 1'b0;
CLK =1'b0;
T =1'b0;
#5 RST_n = 1'b1;
#13 RST_n = 1'b0;
#7 RST_n = 1'b1;
#45 $finish;
end
always #3 CLK = ~CLK;
always #6 T = ~T;
always @(posedge CLK ,negedge RST_n)
$strobe("time =%0t \t INPUT VALUES \t T =%b RST_n =%b \t OUTPUT VALUES
Q=%d",$time,T,RST_n,Q);
endmodule
得到这样的错误:
错误-[V2KS] 使用 Verilog IEEE 1364-2000 语法
TFlipflop.v, 4 Verilog 2000 IEEE 1364-2000 使用的语法:组合端口和类型声明。请使用 +v2k 编译以支持此结构。
错误-[V2KS] 使用 Verilog IEEE 1364-2000 语法
TFlipflop.v, 4 Verilog 2000 IEEE 1364-2000 使用的语法:Ansi 风格的端口声明。请使用 +v2k 编译以支持此结构。
错误-[V2KS] 使用 Verilog IEEE 1364-2000 语法
TFlipflop.v, 6 Verilog 2000 IEEE 1364-2000 使用的语法:逗号分隔的敏感度列表。请使用 +v2k 编译以支持此结构。
解析设计文件“test_TFlipflop.v”
错误-[V2KS] 使用 Verilog IEEE 1364-2000 语法
test_TFlipflop.v, 21 Verilog 2000 IEEE 1364-2000 使用的语法:逗号分隔的敏感度列表。请使用 +v2k 编译以支持此结构。
4 个错误 CPU 时间:0.036 秒