所以我有一个 VHDL 程序,它依赖于进程的时钟,但是我不知道如何将时钟放在约束文件中。在我的设计源文件中,我将时钟声明为
clk : IN std_logic;
根据我在互联网上看到的内容,我尝试了几件事,比如
create_clock -period 5 -name clk [get_ports clk]
和
set_property PACKAGE_PIN L16 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
create_clock -add -name clk -period 8.00 -waveform {0 4} [get_ports clk]
到目前为止,两者都没有工作。我不断得到的主要错误是
Placer failed with error: 'IO Clock Placer failed'
任何和所有的帮助表示赞赏。
我正在使用 Vivado 2015.2 并为 ZYBO 板编程。