0

在我的代码中,我定义了这个向量:

Data: in std_logic_vector(1 to 8);

所以我有一个输入 0:7,当它达到 0 时我解决了一个计数器问题。但是我的合成器给了我这个警告:

索引值 0 到 8 可能超出前缀范围 1 到 8

它会产生错误吗?或者它只是警告如果我使用索引 0,它会产生错误?

4

1 回答 1

1

看起来您正在使用在其范围内具有 9 个值的值(例如signal index : integer range 0 to 8)来索引您的向量,但您的向量只有 8 个值(因此您需要signal index : integer range 1 to 8)。如果您在使用 的地方发布代码in,以及索引中涉及的任何信号/值的声明,我们可以提供更多详细信息。

于 2015-04-30T14:29:35.580 回答