1

在 Altera Qsys 中,我使用了 10 个输入并行端口(我们将它们命名为 pio1 到 pio10),每个端口都是 12 位。这些并行端口从 Quartus 原理图中的 vhdl 模块获取值。在原理图 bdf 中,我可以从 nios ii 系统符号中看到 pio1 到 pio10,因此我可以将这些 pios 连接到我的 bdf 中的其他块。

我的问题是,如何将这些 pio1 向量化为 pio10?不是从 Nios 系统符号中一行一行地看到所有十个 pios,我应该怎么做才能将所有这十个 pios 分组,以便我只看到一个而不是十个?从我看到的一个 pio 中,我可以将其命名为 pio[1..10][1..12],第一个括号表示 pio1 到 pio10,第二个括号表示 bit1 到第 12 位,因为每个并行端口都有 12 位。

你能告诉我我该怎么做吗?

4

0 回答 0