我简单的“HelloWorld”程序不起作用。程序会打印通常的 SystemC 版权声明,但不会打印“Hello World”字符串)。
SC_METHOD
如果我使用(removing calls)编写类似的程序wait
,我可以看到打印的消息。
这是什么原因造成的?
#include <iostream>
#include "systemc.h"
SC_MODULE(stim)
{
sc_in<bool> Clk;
void StimGen()
{
cout << "Hello World!\n";
wait();
cout << "Hello again, world!\n";
wait();
}
SC_CTOR(stim)
{
SC_THREAD(StimGen);
sensitive << Clk.pos();
}
};
int sc_main(int argc, char* argv[])
{
sc_clock TestClk("clk", 10,SC_NS);
stim Stim1("Stimulus");
Stim1.Clk(TestClk);
sc_start(); // run forever
return 0;
}