我想验证总线在断言中是否稳定。例如,如果在下降沿data
之后时钟发生变化,我希望以下断言标记错误。re
wire clk, rst_n, re;
wire [15:0] data;
a_chk_stable_data:
assert property (@(posedge clk) disable iff(!rst_n)
($fell(re) |=> $stable(data[15:0])))
else begin
$display("ERROR: one or more bits of data not stable");
end
我相信$rose
它只在总线的 LSB(链接)上运行。是否$stable
也只在 LSB 上运行,还是支持任何宽度的信号?